欢迎来到专业的优谦范文网平台! 工作总结 工作计划 心得体会 述职报告 思想汇报 事迹材料 疫情防控 共同富裕
当前位置:首页 > 范文大全 > 公文范文 > 正文

2023年fpga选择题及答案(合集)【精选推荐】

时间:2023-04-04 18:50:06 来源:网友投稿

下面是小编为大家整理的2023年fpga选择题及答案(合集)【精选推荐】,供大家参考。

2023年fpga选择题及答案(合集)【精选推荐】

在日常的学习、工作、生活中,肯定对各类范文都很熟悉吧。写范文的时候需要注意什么呢?有哪些格式需要注意呢?下面是小编帮大家整理的优质范文,仅供参考,大家一起来看看吧。

fpga选择题及答案篇一

一、判断题(每题1分,12 分,正确的√,错误的╳)1.软件测试的目的是尽可能多的找出软件的缺陷。()软件测试的目的就是为了发现软件中的缺陷,从这个意义上面说上面的这个论断是正确的。

不少人会认为软件测试可以保证软件的质量,其实这个观点是错误,测试只是软件质量控制中的一个角色,其活动并不能达成软件质量保证的效果。所以不要认为一个公司里面如果有了软件测试人员,产品的质量就会好起来。

2.beta 测试是验收测试的一种。()beta测试和验收测试是两种不同的测试。

验收测试的目的是为了以发现”未实现的需求”为目的,以评估”适合使用”为目标,该类测试的不是以发现缺陷为主要目的。

beta测试是一模拟真实的使用环境从而发现缺陷的一种测试。所以两者之间的是非包容关系。

----但我觉得以上的解释有问题,施验收测试的常用策略有三种,它们分别是:·正式验收·非正式验收或alpha 测试·beta 测试。显然,无论是alpha测试还是beta测试,都是属于验收测试。

3.验收测试是由最终用户来实施的。()上面说到了验收测试的目的和目标,所以验收测试也可是是软件生产的企业内部人员来实施。例如产品经理。

当软件以项目的形式出现,那么验收测试由最终用户来实施的情况是比较长见的。但是对于产品形式的软件,生产企业内部的验收测试会更多。

4.项目立项前测试人员不需要提交任何工件。()应该说这道题目没有明确的答案,在项目立项前测试人员是不是要把一些准备工作以工件的形式给记录下来是完全取决于该企业的软件开发过程的要求。同时不同企业,立项前要达成的一些必要条件也是大相径庭的。应该说这一题目出的不是很好,如果你是出题人这家企业的测试工程师,那么就应该有一个明确的答案。5.单元测试能发现约80%的软件缺陷。()同样这一题目也没有标准答案。因为该数据的来源和其统计的方法,样本都没有一个工业标准。这样出来的数据同样不具有权威性。这里我可以说一个简单的例子,在用asp,php这类脚本语言开发网页的时候是根本没有复杂的单元测试。那么这样的数字应用在网站开发上面是否有意义,还是值得商榷的。所以这道题目出的不好,没有明确的答案

6.代码评审是检查源代码是否达到模块设计的要求。()代码审查是一种静态技术,从这个意义上说代码复查是需要和其他的一些动态测试技术配合才能检查代码是否符合设计的要求 7.自底向上集成需要测试员编写驱动程序。()

这道题目大家看下top-down 和 down-top的集成测试示意图就能得出明确的答案。这里需要了解的是什么是驱动测试程序,什么是桩程序。如果集成组件数量众多,多关系层次,那么不论是什么类型的集成测试。驱动程序和桩程序都是需要开发的。

自顶向下需要开发桩模块 自底向下需要开发驱动模块

8.负载测试是验证要检验的系统的能力最高能达到什么程度。()load testing(负载测试),通过测试系统在资源超负荷情况下的表现,以发现设计上的错误或验证系统的负载能力。在这种测试中,将使测试对象承担不同的工作量,以评测和评估测试对象在不同工作量条件下的性能行为,以及持续正常运行的能力。

负载测试的目标是确定并确保系统在超出最大预期工作量的情况下仍能正常运行。

此外,负载测试还要评估性能特征,例如,响应时间、事务处理速率和其他与时间相关的方面。

9.测试人员要坚持原则,缺陷未修复完坚决不予通过。()

同样,这一题没有正确的答案。缺陷是否修复是需要听取测试人员的意见,但测试人员的意见非决定性。所以还是要看一个企业赋予测试人员有多大的权力。10.代码评审员一般由测试员担任。()如果测试员有这个水平,那么当然是可以参加的。不过大多数的企业不会让普通的测试人员参与代码的评审。

11.我们可以人为的使得软件不存在配置问题。()首先大家先搞清楚什么是配置管理什么是软件配置,从这道题目中看不出出题人想问的是关键工程中的配置管理还是单纯的软件配置。但是可以肯定的是不论是何种情况,答案均是否定的。

12.集成测试计划在需求分析阶段末提交。()

集成测试计划在开发人员完成软件集成计划之后就可以开始进行了。所以在需求分析阶段之后提交是不现实的事情,应该在软件的设计阶段后,编码前。

二、不定项选择题(每题2 分,10分)1.软件验收测试的合格通过准则是:()a. 软件需求分析说明书中定义的所有功能已全部实现,性能指标全部达到要求。b. 所有测试项没有残余一级、二级和一

c. 立项审批表、需求分析文档、设计文档和编码实现一致。d. 验收测试工件齐全。

回答这道题,你必须是这家企业的员工。前面说到了验收测试的目的和目标,一个是需求必须实现,二是证明软件是适合使用的。这样能满足这两个通用标准就可以了。当然有些软件企业会对验收测试标准做一些调整。2.软件测试计划评审会需要哪些人员参加?()a.项目经理 b.sqa 负责人 c.配置负责人 d.测试组

上面的4种角色都需要参与

3.下列关于alpha 测试的描述中正确的是:()a.alpha 测试需要用户代表参加 b.alpha 测试不需要用户代表参加 c.alpha 测试是系统测试的一种 d.alpha 测试是验收测试的一种

首先大家需要知道alpha测试是系统级别的测试,该测试是在一个受控的环境中进行的。用户需要直接参与进来。所以答案应该是ad 4.测试设计员的职责有:()a.制定测试计划 b.设计测试用例

c.设计测试过程、脚本 d.评估测试活动

合理的答案的是bc,同时要看软件企业对该类人员的职责是如何定义。5.软件实施活动的进入准则是:()a.需求工件已经被基线化 b.详细设计工件已经被基线化 c.构架工件已经被基线化 d.项目阶段成果已经被基线化 先要了解一下什么是基线。这个是软件配置管理中一个重要的概念。工作产品必须纳入到一定的基线里面。所以选择abc是必定的,至于是否选择d要看这家企业自身的标准了

填空题(每空1分,24 分)

1.软件验收测试包括___、___、____三种类型。

软件验收测试包括正式验收测试、alpha测试、beta测试三种测试。

2.系统测试的策略有功能测试、、、、易用性测试、、、、、、、、、、等15 种方法。

《软件测试的艺术》:功能测试,容量测试,负载测试,易用性测试,安全性测试,性能测试,存储测试,配置测试,兼容性测试,安装测试,可靠性测试,可恢复性测试,适用性测试,文档测试,过程测试

3.设计系统测试计划需要参考的项目文档有____和迭代计划。设计系统测试计划需要参考的项目文档有软件测试计划、软件需求工件、和迭代计划。4.对面向过程的系统采用的集成策略有___、___两种。自顶向下,自底向上

5.通过画因果图来写测试用例的步骤为___、___、___、___及把因果图转换为状态图共五个步骤。

利用因果图生成测试用例的基本步骤是:

a 分析软件规格说明描述中,哪些是原因(即输入条件或输入条件的等价类),哪些是结果(即输出条件),并给每个原因和结果赋予一个标识符。b 分析软件规格说明描述中的语义,找出原因与结果之间,原因与原因之间对应的是什么关系? 根据这些关系,画出因果图。c 由于语法或环境限制,有些原因与原因之间,原因与结果之间的组合情况不可能出现。为表明这些特殊情况,在因果图上用一些记号标明约束或限制条件。d 把因果图转换成判定表。

e 把判定表的每一列拿出来作为依据,设计测试用例。

fpga选择题及答案篇二

第 1 章 fpga基础知识

1.1 fpga设计工程师努力的方向

sopc,高速串行i/o,低功耗,可靠性,可测试性和设计验证流程的优化等方面。随着芯片工艺的提高,芯片容量、集成度都在增加,fpga设计也朝着高速、高度集成、低功耗、高可靠性、高可测、可验证性发展。芯片可测、可验证,正在成为复杂设计所必备的条件,尽量在上板之前查出bug,将发现bug的时间提前,这也是一些公司花大力气设计仿真平台的原因。另外随着单板功能的提高、成本的压力,低功耗也逐渐进入fpga设计者的考虑范围,完成相同的功能下,考虑如何能够使芯片的功耗最低。高速串行io的应用,也丰富了fpga的应用范围,象xilinx的v2pro中的高速链路也逐渐被应用。总之,学无止境,当掌握一定概念、方法之后,就要开始考虑fpga其它方面的问题了。

1.2 简述fpga等可编程逻辑器件设计流程

系统设计电路构思,设计说明与设计划分,电路设计与输入(hdl代码、原理图),功能仿真与测试,逻辑综合,门级综合,逻辑验证与测试(综合后仿真),布局布线,时序仿真,板级验证与仿真,加载配置,在线调试。常用开发工具(altera fpga)

hdl语言输入:text editor(hdl语言输入),还可以使用ultra edit 原理图输入:schematic editor ip core输入:megawinzad 综合工具:synplify/synplify pro,qaustus ii内嵌综合工具 仿真工具:modelsim 实现与优化工具:quartus ii集成的实现工具有assignment editor(约束编辑器)、logiclock(逻辑锁定工具)、powerfit fitter(布局布线器)、timing analyzer(时序分析器,sta分析工具)、floorplan editor(布局规划器)、chip editor(底层编辑器)、design space explorer(设计空间管理器)、design assistant(检查设计可靠性)等。后端辅助工具:assembler(编程文件生成工具),programmer(下载编程工具),powergauge(功耗仿真器)

调试工具:signaltap ii(在线逻辑分析仪),signalprobe(信号探针)。系统级设计环境:sopc builder,dsp builder,software builder。

1.3 quartus文件管理

1.编译必需的文件:设计文件(.gdf、.bdf、edif输入文件、.tdf、verilog设计文件、.vqm、.vt、vhdl设计文件、.vht)、存储器初始化文件(.mif、.rif、.hex)、配置文件(.qsf、.tcl)、工程文件(.qpf)。2.编译过程中生成的中间文件(.,.hdb,.xml等)3.编译结束后生成的报告文件(.rpt、.qsmg等)

4.根据个人使用习惯生成的界面配置文件(.qws等)5.编程文件(.sof、.pof、.ttf等)

1.4 ic设计流程 写出一份设计规范,设计规范评估,选择芯片和工具,设计,(仿真,设计评估,综合,布局和布线,仿真和整体检验)检验,最终评估,系统集成与测试,产品运输。设计规则:使用自上而下的设计方法(行为级,寄存器传输级,门电路级),按器件的结构来工作,做到同步设计,防止亚稳态的出现,避免悬浮的节点,避免总线的争抢(多个输出端同时驱动同一个信号)。

设计测试(dft)强调可测试性应该是设计目标的核心,目的是排除一个芯片的设计缺陷,捕获芯片在物理上的缺陷问题。

asic设计要求提供测试结构和测试系向量。fpga等默认生产厂商已经进行了适当的测试。测试的10/10原则:测试电路的规模不要超过整个fpga的10%,花费在设计和仿真测试逻辑上的时间不应超过设计整个逻辑电路的10%。

1.5 fpga基本结构

可编程输入/输出单元,基本可编程逻辑单元,嵌入式块ram,丰富的布线资源,底层嵌入式功能单元,内嵌专用硬核。

常用的电气标准有lvttl,lccmos,sstl,hstl,lvds,lvpecl,pci等。fpga悬浮的总线会增加系统内的噪声,增加功率的损耗,并且具有潜在的产生不稳定性的问题,解决方案是加上拉电阻。

对于sram型器件,路径是通过编程多路选择器实现;
对于反熔丝型器件,路径通过传导线(高阻抗,有rc延时)来实现的。这两种结构都显著加大了路径延时。

1.6 fpga选型时要考虑哪些方面?

需要的逻辑资源、应用的速度要求,功耗,可靠性,价格,开发环境和开发人员的熟悉程度。

1.7 同步设计的规则 单个时钟域:

1、所有的数据都要通过组合逻辑和延时单元,典型的延时单元是触发器,这些触发器被一 个时钟信号所同步;

2、延时总是由延时单元来控制,而不是由组合逻辑来控制;

3、组合逻辑所产生的信号不能在没有通过一个同步延时单元的情况下反馈回到同一个组 合逻辑;

4、时钟信号不能被门控,必须直接到达延时单元的时钟输入端,而不是经过任何组合逻辑;

5、数据信号必须只通向组合逻辑或延时单元的数据输入端。多个时钟域:

把通过两个不同时钟作用区域之间的信号作为异步信号处理

1.8 你所知道的可编程逻辑器件有哪些? pal/gal,cpld,fpga pla:可编程逻辑阵列,一种用于大规模的与阵列和或阵列的逻辑器件,用于实现布尔逻辑的不同组合。

pla:可编程阵列逻辑,一种逻辑器件,由大规模的与阵列和规模小且数量固定的或门组成,可用于实现布尔逻辑和状态机。

pal:很短的交货时间、可编程的、没有nre(非循环工程)费用 门阵列:高密度性、能实现许多逻辑函数、速度相对较快 1.9 fpga、asic、cpld的概念及区别

fpga(field programmable gate array)是可编程asic。

asic专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门阵列等其它asic(application specific ic)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点。

fpga采用同步时钟设计,使用全局时钟驱动,采用时钟驱动方式在各级专用布线资源上灵活布线,asic有时采用异步逻辑,一般采用门控时钟驱动,一旦设计完成,其布线是固定的。fpga比asic开发周期短,成本低,设计灵活。

cpld(complex programmable logic device)是复杂可编程逻辑器件。cpld开关矩阵路径设计的一个优点是信号通过芯片的延时时间是确定的。设计者通过计算经由功能模块、i/o模块和开关矩阵的延迟就可以 任何信号的延迟时间,并且信号沿金属线传递所引起的延迟是可忽略的。

1.10 锁存器(latch)和触发器(flip-flop)区别?

电平敏感的存储器件称为锁存器,可分为高电平锁存器和低电平锁存器,用于不同时钟 之间的信号同步。

由交叉耦合的门构成的双稳态的存储原件称为触发器。分为上升沿触发和下降沿触发。可以认为是两个不同电平敏感的锁存器串连而成。前一个锁存器决定了触发器的建立时间,后一个锁存器则决定了保持时间。

锁存器对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,仅当锁存器处于使能状态时,输出才会随着数据输入发生变化。

锁存器不同于触发器,它不在锁存数据时,输出端的信号随输入信号变化,就像信号通过一个缓冲器一样;
一旦锁存信号起锁存作用,则数据被锁住,输入信号不起作用。锁存器也称为透明锁存器,指的是不锁存时输出对于输入是透明的。

应用场合:数据有效迟后于时钟信号有效。这意味着时钟信号先到,数据信号后到。在某些运算器电路中有时采用锁存器作为数据暂存器。

缺点:时序分析较困难。

不要锁存器的原因:

1、锁存器容易产生毛刺,2、锁存器在asic设计中应该说比ff要简单,但是在fpga的资源中,大部分器件没有锁存器这个东西,所以需要用一个逻辑门和ff来组成锁存器,这样就浪费了资源。

优点:面积小。锁存器比ff快,所以用在地址锁存是很合适的,不过一定要保证所有的latch信号源的质量,锁存器在cpu设计中很常见,正是由于它的应用使得cpu的速度比外部io部件逻辑快许多。latch完成同一个功能所需要的门较触发器要少,所以在asic中用的较多。

寄存器用来存放数据的一些小型存储区域,用来暂时存放参与运算的数据和运算结果,它被广泛的用于各类数字系统和计算机中。其实寄存器就是一种常用的时序逻辑电路,但这种时序逻辑电路只包含存储电路。寄存器的存储电路是由锁存器或触发器构成的,因为一个锁存器或触发器能存储1位二进制数,所以由n个锁存器或触发器可以构成n位寄存器。工程中的寄存器一般按计算机中字节的位数设计,所以一般有8位寄存器、16位寄存器等。对寄存器中的触发器只要求它们具有置

1、置0的功能即可,因而无论是用同步rs结构触发器,还是用主从结构或边沿触发结构的触发器,都可以组成寄存器。一般由d触发器组成,有公共输入/输出使能控制端和时钟,一般把使能控制端作为寄存器电路的选择信号,把时钟控制端作为数据输入控制信号。寄存器的应用

1.可以完成数据的并串、串并转换;

2.可以用做显示数据锁存器:许多设备需要显示计数器的记数值,以8421bcd码记数,以七段显示器显示,如果记数速度较高,人眼则无法辨认迅速变化的显示字符。在计数器和译码器之间加入一个锁存器,控制数据的显示时间是常用的方法。3.用作缓冲器;

4.组成计数器:移位寄存器可以组成移位型计数器,如环形或扭环形计数器。

1.11 jtag信号

tck:测试时钟输入,用于移位控制,上升沿将测试指令、测试数据和控制输入信号移入芯片;
下降沿时将数据从芯片移出。

tms:测试模式选择,串行输入端,用于控制芯片内部的jtag状态机。

tdi:测试数据输入,串行输入端,用于指令和编程数据的输入,在时钟上升沿,数据被捕获。tdo:测试数据输出,串行输出端,时钟下降沿,数据被驱动输出。trst:测试复位输入(仅用于扩展jtag),异步、低电平有效,用于jtag初始化时。

1.12 fpga芯片内有哪两种存储器资源?

fpga芯片内有两种存储器资源:一种叫block ram,另一种是由lut配置成的内部存储器(也就是分布式ram,distribute ram)。block ram由一定数量固定大小的存储块构成的,使用block ram资源不占用额外的逻辑资源,并且速度快。但是使用的时候消耗的block ram资源是其块大小的整数倍。

1.13 fpga中可以综合实现为ram/rom/cam的三种资源及其注意事项?

三种资源:block ram、触发器(ff)、查找表(lut);

注意事项:

1、在生成ram等存储单元时,应该首选block ram 资源;
原因有二:使用block ram等资源,可以节约更多的ff和4-lut等底层可编程单元,最大程度发挥器件效能,节约成本;

block ram是一种可以配置的硬件结构,其可靠性和速度与用lut和register构建的存储器更有优势。

2、弄清fpga的硬件结构,合理使用block ram资源;

3、分析block ram容量,高效使用block ram资源和分布式ram资源(distribute ram)。

1.14 fpga设计中对时钟的使用?(例如分频等)

fpga芯片有固定的时钟路由,这些路由能有减少时钟抖动和偏差。需要对时钟进行相位移动或变频的时候,一般不允许对时钟进行逻辑操作,这样不仅会增加时钟的偏差和抖动,还会使时钟带上毛刺。一般的处理方法是采用fpga芯片自带的时钟管理器如pll,dll或dcm,或者把逻辑转换到触发器的d输入。

1.15 xilinx中与全局时钟资源和dll相关的硬件原语

常用的与全局时钟资源相关的xilinx器件原语包括:bufg, ibufgds, bufg, bufgp, bufgce, bufgmux, bufgdll, dcm等。1.16 hdl语言的层次概念?

hdl语言是分层次的、类型的,最常用的层次概念有系统与标准级、功能模块级,行为级,寄存器传输级和门级。

1.17 查找表的原理与结构?

查找表(look-up-table)简称为lut,本质上是一个ram。目前fpga中多使用4输入的lut,所以每一个lut可以看成一个有 4位地址线的16x1的ram。当用户通过原理图或hdl语言描述了一个逻辑电路以后,pld/fpga开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入ram,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出。

1.18 ic设计前端到后端的流程和eda工具?

设计前端也称逻辑设计,后端设计也称物理设计,两者并没有严格的界限,一般涉及到与工艺有关的设计就是后端设计。1:规格制定:客户向芯片设计公司提出设计要求。

2:详细设计:芯片设计公司(fabless)根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。目前架构的验证一般基于 system c,仿真可以使用system c的仿真工具,cocentric和visual elite等。

3:hdl编码:设计输入工具:ultra,visual vhdl等 4:仿真验证:modelsim 5:逻辑综合:synplify 6:静态时序分析:synopsys的prime time 7:形式验证:synopsys的formality.1.19 什么是“线与”逻辑,要实现它,在硬件特性上有什么具体要求? 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门(集电极开路与非门)来实现,由于不用oc门可能使灌电流过大,而烧坏逻辑门,因此在输出端口应加一个上拉电阻。

1.20 ic设计中同步复位与异步复位的区别? 同步复位在时钟沿采复位信号,完成复位动作。

异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。

1.21 moore 与 meeley状态机的特征?

moore 状态机的输出仅与当前状态值有关, 且只在时钟边沿到来时才会有状态变化。mealy 状态机的输出不仅与当前状态值有关, 而且与当前输入值有关。

1.22 latch和register区别?行为描述中latch如何产生? 本质的区别在于:latch是电平触发,register是边沿触发。register在同一时钟边沿触发下动作,符合同步电路的设计思想,而latch则属于异步电路设计,往往会导致时序分析困难,不适当的应用latch则会大量浪费芯片资源。时序设计中尽量使用register触发。行为描述中,如果对应所有可能输入条件,有的输入没有对应明确的输出,系统会综合出latch。

比如://缺少else语句 always@(a or b)begin if(a==1)q <= b;end 1.23 单片机上电后没有运转,首先要检查什么?

首先应该确认电源电压是否正常;
接下来就是检查复位引脚电压是否正常;
然后再检查晶振是否起振了。

如果系统不稳定的话,有时是因为电源滤波不好导致的。在单片机的电源引脚跟地引脚之间接上一个0.1uf的电容会有所改善。如果电源没有滤波电容的话,则需要再接一个更大滤波电容,例如220uf的。遇到系统不稳定时,就可以并上电容试试(越靠近芯片越好)。

1.24 集成电路前端设计流程,写出相关的工具。1)代码输入(design input)用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:summit visualhdl mentor renior 图形输入: composer(cadence);viewlogic(viewdraw)2)电路仿真(circuit simulation)将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具:
verolog

:cadence verolig-xl synopsys vcs mentor modle-sim vhdl:cadence nc-vhdl synopsys vss mentor modle-sim 模拟电路仿真工具:

anti hspice pspice,spectre micro microwave: eesoft : hp 3)逻辑综合(synthesis tools)逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;
将初级仿真中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再仿真。最终仿真结果生成的网表称为物理网表。

第 2 章 时序约束

2.1 时序约束的概念和基本策略

时序约束主要包括周期约束,偏移约束,静态时序路径约束三种。通过附加时序约束可以综合布线工具调整映射和布局布线,是设计达到时序要求。

策略:附加时序约束的一般策略是先附加全局约束,然后对快速和慢速例外路径附加专门约束。附加全局约束时,首先定义设计的所有时钟,对各时钟域内的同步元件进行分组,对分组附加周期约束,然后对fpga/cpld输入输出pad附加偏移约束、对全组合逻辑的pad to pad路径附加约束。附加专门约束时,首先约束分组之间的路径,然后约束快、慢速例外路径和多周期路径,以及其他特殊路径。附加约束的作用:

1、提高设计的工作频率(减少了逻辑和布线延时);

2、获得正确的时序分析报告;
(静态时序分析工具以约束作为判断时序是否满足设计要求的标准,因此要求设计者正确输入约束,以便静态时序分析工具可以正确的输出时序报告)

3、指定fpga/cpld的电气标准和引脚位置。

2.2 fpga设计中如何实现同步时序电路的延时?

首先说说异步电路的延时实现:异步电路一半是通过加buffer、两级与非门等,但这是不适合同步电路实现延时的。在同步电路中,对于比较大的和特殊要求的延时,一半通过高速时钟产生计数器,通过计数器来控制延时;
对于比较小的延时,可以通过触发器打一拍,不过这样只能延迟一个时钟周期。

2.3 什么是同步逻辑和异步逻辑?

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。电路设计可分类为同步电路和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步。由于异步电路具有下列优点--无时钟歪斜问题、低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性--因此近年来对异步电路研究增加快速,论文发表数以倍增,而intel pentium 4处理器设计,也开始采用异步电路设计。v异步电路主要是组合逻辑电路,用于产生地址译码器、fifo或ram的读写控制信号脉冲,其逻辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟clk,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。

同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入有无变化,状态表中的每个状态都是稳定的。

异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。

2.4 同步电路和异步电路的区别?

同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,这有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。

2.5 同步设计的原则

1、尽可能使用同一时钟,时钟走全局时钟网络。多时钟域采用“局部同步”。

2、避免使用缓和时钟采样数据。采用混合时钟采用将导致fmax小一倍。

3、避免在模块内部使用计数器分频所产生的时钟。

4、避免使用门控时钟。组合电路会产生大量毛刺,所以会在clk上产生毛刺导致ff误翻转。可以用时钟始能代替门控时钟。

2.6 时序设计的实质

电路设计的难点在时序设计,时序设计的实质就是满足每一个触发器的建立/保持时间的要求。

2.7 对于多位的异步信号如何进行同步?

对一位的异步信号使用一位同步器,而对于多位的异步信号,可以采用如下方法:1:可以采用保持寄存器加握手信号的方法(多数据,控制,地址);
2:特殊的具体应用电路结构,根据应用的不同而不同;
3:异步fifo(最常用的缓存单元是dpram)。

2.8 什么是时钟抖动?

时钟抖动是指芯片的某一个给定点上时钟周期发生暂时性变化,也就是说时钟周期在不

同的周期上可能加长或缩短。它是一个平均值为0的平均变量。

2.9 建立时间与保持时间的概念?

setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,其数据输入端的数据必须保持不变的时间。输入信号应提前时钟沿t时间到达芯片,这个t就是建立时间-setup time。如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟沿,数据才能被打入触发器。

保持时间是指触发器的时钟信号上升沿到来以后,其数据输入端的数据必须保持不变的时间。如果hold time不够,数据同样不能被打入触发器。

不考虑时钟的skew,d2的建立时间不能大于(时钟周期tsetup – hold

2.17 时钟周期t,触发器d1的寄存器到输出时间最大为t1max,最小为t1min。

组合逻辑电路最大延迟为t2max,最小为t2min。问,触发器d2的建立时间t3和保持时间应满足什么条件 t3setup>t+t2max,t3hold>t1min+t2min

第 3 章 rtl级设计

3.1 用verilog或vhdl写一段代码,实现消除一个glitch? 将传输过来的信号经过两级触发器就可以消除毛刺。

3.2 阻塞式赋值和非组塞式赋值的区别?

非阻塞赋值:块内的赋值语句同时赋值,一般用在时序电路描述中,同时执行。阻塞赋值:完成该赋值语句后才做下一句的操作,一般用在组合逻辑描述中,顺序执行。

3.3 用fsm实现101101的序列检测模块。

a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为

0。

如a:
***0100110 b:
***0000000 请画出state machine;
请用rtl描述其state machine。

状态分配:
idle:000 st0:001 st1:011 st2:010 st3:110

3.4 用verilog/vhdl写一个fifo控制器(包括空,满,半满信号)。reg[n-1:0] memory[0:m-1];定义fifo为n位字长容量m 八个always模块实现,两个用于读写fifo,两个用于产生头地址head和尾地址tail,一个产生counter计数,剩下三个根据counter的值产生空,满,半满信号产生空,满,半满信号。

3.5 用d触发器实现2分频的verilog描述? module divide2(clk , clk_o, reset);input clk , reset;output clk_o;wire in;reg out always @(posedge clk or posedge reset)if(reset)out <= 0;else out <= in;assign in = ~out;assign clk_o = out;endmodule

3.6 用d触发器做个二分频的电路?画出逻辑电路? d触发器的输出q取反接到输入,输出作为二分频输出。

显示工程设计中一般不采用这样的方式来设计,二分频一般通过dcm或pll来实现。通过dcm或者pll得到的分频信号没有相位差。

3.7 描述一个交通信号灯的设计。module traffic

3.8 设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑

找零,1.画出fsm(有限状态机)2.用verilog编程,语法要符合fpga设计的要求3.设计工程中可使用的工具及设计大致过程

(1)点路变量分析:投入5分硬币为一个变量,定义为a,为输入;
投入10分硬币为一个变量,定义为b,为输入;
售货机给出饮料为一变量,定义为y,为输出;
售货机找零为一变量,定义为z,为输出。(2)状态确定:电路共有两个状态:状态s0,表示未投入任何硬币;
状态s1,表示投入了5分硬币。

(3)设计过程:设当前为s0状态,当接收到5分硬币时,转换到s1状态,等待继续投入硬币;
当接收到10分硬币时,保持s0状态,弹出饮料,不找零。当前状态为s1时,表示已经有5分硬币,若再接收5分硬币,转换到s0状态,弹出饮料,不找零;
若接收到10分硬币,转换到s0状态,弹出饮料,找零。所用设计工具:quartus ii,modelsim

第 4 章 名词解释

4.1 sram,falsh memory及dram的区别? sram:静态随机存储器,存取速度快,但容量小,掉电后数据会丢失,不像dram 需要不停的refresh,制造成本较高,通常用来作为快取(cache)记忆体使用 flash:闪存,存取速度慢,容量大,掉电后数据不会丢失

dram:动态随机存储器,必须不断的重新的加强(refreshed)电位差量,否则电位差将降低至无法有足够的能量表现每一个记忆单位处于何种状态。价格比sram便宜,但访问速度较慢,耗电量较大,常用作计算机的内存使用。

ssram:synchronous static random access memory同步静态随机访问存储器。它的一种类型的sram。ssram的所有访问都在时钟的上升/下降沿启动。地址、数据输入和其它控制信号均于时钟信号相关。这一点与异步sram不同,异步sram的访问独立于时钟,数据输入和输出都由地址的变化控制。

sdram:synchronous dram同步动态随机存储器。

fpga设计中既可以用于静态验证又可以用于动态仿真的是(断言,类似于c语言里的assert,静态验证类似于程序在编译阶段就能发现错误,动态仿真是仿真阶段发现错误)的码片速率是:3.84mcps

4.2 prom分类:

可擦除可编程的只读存储器(eprom):施加高压电信号编程,置于紫外线中可擦除其内容。

电可擦除可编程只读存储器(e2prom):高压编程和擦除。flash存储器:电信号对其编程和擦除。4.3 prom分类:

4.4 名词irq,bios,usb,vhdl,sdr

4.5 给你一堆名词,举例他们的作用。有pci、ecc、ddr、interrupt、pipeline 中断的类型,作用。

irq,bios,usb,vhdl,vlsi vco(压控振荡器)ram(动态随机存储器),fir iir dft(离散傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图 c.白平衡 pci:peripheral component interconnect(pci),ddr:doubledatarate ecc:error checking and correcting atpg:automatic test pattern generator自动测试相量生成 cmos:complement metel oxide semi-conduct eco:
engineering change order 工程修改订单。

pci:pci是peripheral component interconnect(外设部件互连标准)的缩写pci是由intel公司1991年推出的一种局部总线。最早提出的pci 总线工作在33mhz 频率之下,传输带宽达到了133mb/s(33mhz x 32bit/8),它为显卡,声卡,网卡,modem等设备提供了连接接口。

ecc:erro checking and correcting 数据校验纠错,应用在内存上 ecc内存 ddr:内存 double date rate interrupt:中断 分为硬件中断和软件中断。硬件中断分为可屏蔽中断和不可屏蔽中断。pipeline:流水线采用流水线技术的cpu使用指令重叠的办法,即在一条指令还没有处理完时,就开始处理下一条指令。典型的流水线将每一条机器指令分成5步,即取指、译码、取操作数(或译码2)、执行、回写。在理想条件下,平均每个时钟周期可以完成一条指令而所谓“超级流水线处理”是将机器指令划分为更多级的操作,以减轻每一级的复杂程度。在流水线的每一步中,如果需要执行的逻辑操作少一些,则每一步就可以在较短的时间内完成。tlb:translate look side buffers,转换旁视缓冲器

apic: advanced programmable interrupt ual processing双处理器

fpga选择题及答案篇三

程序开发 卷1

php程序员考题

考试说明:

1、试卷总分为100分。

2、本次考试时间为120分钟。

一、逻辑推理题(9分,每题3分)

夫妇请了tom夫妇和henrry夫妇来他们家玩扑克。这种扑克游戏有一种规则,夫妇两个不能一组。jack跟lily一组,tom的队友是henrry的妻子,linda的丈夫和sara一组。那么这三对夫妇分别为:(b)a. jack—sara,tom—linda,henrry—lily b. jack—sara,tom—lily,henrry—linda c. jack—linda,tom—lily,henrry—sara d. jack—lily,tom—sara,henrry—linda

2.有17根11.1米长的钢管,要截成1.0米和0.7米的甲、乙两种长度的管子,要求截成的甲、乙两种管子的数量一样多。问:最多能截出甲、乙两种管子各多少根?(c)a.99 b.105 c.111 d.121

3.一个水库在年降水量不变的情况下,能够维持全市12万人20年得用水量。在该市新迁入3万人之后,该水库只够维持15年得用水量。市政府号召节约用水,希望能将水库的使用寿命提高到30年。那么该市市民平均需要节约多少比例的水才能实现政府制定的目标?(a)a.2/5 b.2/7 c.1/3 d.1/4

二、php语言题(36分,每题3分)

1.语句for($k=0;$k=1;$k++);和语句for($k=0;$k==1;$k++);执行的次数分别是(3分): a 无限和0 b 0和无限 c 都是无限 d 都是0 参考答案:a

2.读取post方法传递的表单元素值的方法是(3分): a $_post[“名称”] b $_post[“名称”] c $post[“名称”] d $post[“名称”] 参考答案:b

3.下面哪个函数可以打开一个文件,以对文件进行读和写操作?(3分)a fget()

程序开发 卷1

b file_open()c fopen()d open_file()参考答案:c

4.下面哪个选项没有将 john 添加到users 数组中?(3分)(a)$users[] = ‘john’;(b)array_add($users,’john’);(c)array_push($users,‘john’);(d)$users ||= ‘john’;参考答案:b

是一种______脚本语言,基于______引擎。php最常被用来开发动态的______内容,此外,它同样还可被用来生成______(以及其他)文档。(3分)a.动态,php,数据库,html b.嵌入式,zend,html,xml c.基于perl的,php,web,静态 d.嵌入式,zend,docbook文档,mysql e.基于zend的,php,图像,html 参考答案:b

6.函数的形参与实参之间的数值传递方式有哪些?如何传递?(5分)

答:(1)按值传递方式,将实参的值复制到对应的形参中,在函数内部的操作针对形参进行,操作的结果不会影响到实参,即函数返回值,实参的值不会改变。

(2)按引用传递方式,按引用传递方式就是引用将实参的内存地址传递到形参中,这时在函数内部的所有的操作都会影响到实参的值,返回后实参的值会发生变化,引用传递方式就是传递时在原基础上加&号即可。

(3)默认函数(可选参数)。可选参数指定某个参数为可选参数,将可选参数放在参数引表末尾,并且指定其默认值为空。

7.定义:$str=”a1b2c3”,请写出下面两种匹配的结果以及解释一下两者的区别。(5分)preg_match(‘/[w]*[d]+/i’),$str,$ary);echo $ary[0];preg_match(‘/[w]*?[d]+/i’,$str,$ary);echo $ary[0];参考答案:第一个输出为a1b2c3,第二个输出为a1。

区别主要是[w]*,后面有没有跟问号,php的正则匹配模式默认为贪婪模式,即第一个模式,表示*取尽量多的匹配字符,第二个加了个限定符?,为非贪婪(或懒惰模式),表示*取尽量少的匹配字符。(能写出贪婪和懒惰的区别,正则表达式已经没大问题了。)

n和cookies 有什么区别?如果浏览器禁用了cookies,session还可以使用吗?为什么?(5分)

参考答案:cookies存储在客户端,session存储在服务端。浏览器禁用了cookies,session也不可以使用,因为sesession是需要客户端发送一个sessionid到服务端,禁用了cookies,客户端就不能保存此sessionid,session也失效了。(session是常用的,考察使用的熟悉程度)

程序开发 卷1

二进制数据流是处理是用什么函数的?(4分)参考答案:pack和unpack。(与服务端通信用到的解析方法)

10.求两个日期的差数,例如2009-3-1 ~ 2009-4-4 的日期差数?(4分)答:(strtotime(‘2009-4-4’)-strtotime(‘2009-3-1’))/3600*24

三、linux操作题(20分,每题5分)1.请尽量写出你熟悉的linux文件操作命令及其作用。

参考答案:自由发挥.基本的文件操作命令rm(移除),mv(移动),cp(复制),chmod(改权限),pwd(查看当前路径),mkdir(创建目录)ls(列举当前目录)2.3.4.简述linux下,rsync同步命令怎么实现无需输入密码数据同步?(5分)

参考答案:在机器1上生成公钥私钥对,将生成的公钥复制至机器2,加入到~/.ssh/。即可实现无密码同步。(同步没问题了)

四、综合问答题(35分,每题5分),lnmp分别指什么?

参考答案:lamp:linux,apache,mysql,php lnmp:linux,nginx,mysql,php linux文本编辑器vim(vi)命令行模式下有:q,:w, /word ,?word表示什么? 参考答案::q退出,:w保存,/word往下查找,?word往上查找.(熟悉linux编辑)linux怎么添加定时任务? 参考答案:crontab –e

2.11.请使用伪语言结合数据结构冒泡排序法对以下一组数据进行排序 10 2 36 14 10 25 23 85 99 45。

答:

$str=’10 2 36 14 10 25 23 85 99 45′;$arr=explode(‘ ‘,$str);$count=count($arr);for($i=0;$i<$count;$i++){ for($j=$i+1;$j<$count;$j++){ if($arr[$j]<$arr[$i]){ $temp=$arr[$i];$arr[$i]=$arr[$j];$arr[$j]=$temp;} } } $str1=implode(‘ ‘,$arr);echo$str1;

3.简述mysql中union all,left join的用法。

程序开发 卷1

参考答案:union all将两次或多次查询的结果合并。

left join从左表那里返回所有的行,即使在右表中没有匹配的行。(这两个能记住,mysql也比较熟了)

优化有什么方法?

参考答案:简单点的就是程序员写高质量的sql语句,数据库设计优秀,按需要建立索引(重点)。深入点的,优化mysql配置。

5.一个表中的id有多个记录,把所有这个id的记录查出来,并显示共有多少条记录数,用sql语句及视图、存储过程分别实现。

create procedure proc_countnum(in columnid int,out rowsno int)begin select count(*)into rowsno from member where member_id=columnid;end call proc_countnum(1,@no);select @no;方法:视图:

create view v_countnum as select member_id,count(*)as countnum from member group by member_id select countnum from v_countnum where member_id=1

6.请举例说明在你的开发过程中用什么方法来加快页面的加载速度

参考答案:自由发挥题,言之有理即可(如:要用到服务器资源时才打开,及时关闭服务器资源,数据库添加索引,页面可生成静态,图片等大文件单独服务器。使用代码优化工具)。

7.对于大流量的网站,您采用什么样的方法来解决访问量问题? 参考答案:确认服务器硬件是否足够支持当前的流量,数据库读写分离,优化数据表,控制大文件的下载,使用不同主机分流主要流量确认服务器硬件是否足够支持当前的流量,数据库读写分离,优化数据表,程序功能规则,禁止外部的盗链,控制大文件的下载,使用不同主机分流主要流量。

fpga选择题及答案篇四

助理、秘书笔试试题及答案

一、单项选择题

一般智力测验(1~5题)

1.3、5、9、17、(b)

a.29b.33c.30d.40

2.现有37名人员需要渡河,只有一只小船,每船每次只能载5人,请问需要(c)次才能渡完

a.7b.8c.9d.10

3.如果4个矿泉水空瓶可以换一瓶矿泉水,现有15个矿泉水空瓶,不交钱最多可以换矿泉水(c)。

a.3瓶b.4瓶c.5瓶d.6瓶

4.甲乙丙丁4个小孩在外面玩耍,其中一个小孩不小心打碎了邻居家的一块玻璃,邻居家的主人过来,想问问是谁打破的玻璃。

甲:“是丙打碎的。”

乙:“不是我打碎的。”

丙:“甲在说谎。”

丁:“是甲打碎的。”

他们4个人中只有一个人说的是真话,其余三个都是假话。

请问:是谁打碎的玻璃(b)

a.甲b.乙c.丙d.丁

5.甲、乙、丙三人买书共花费96元钱,已知丙比甲多花16元,乙比甲多花8元,则甲、乙、丙三人所花的钱的比是(d)。

a.3:5:4b.4:5:6c.2:3:4d.3:4:5

语言理解能力(6~11题)

6.随着工业的发展和人口的增长,排放的废污水量也相应地(a)增加,从而导致了许多江、河、湖、海及地下水受到严重污染。

a.迅猛b.急剧c.迅速d.剧烈

7.他对武侠小说的(d),使他不再专心学习,以至于学习成绩出现很大的退步。

a.热爱b.爱好c.痴迷d.迷恋

8.下面4句话中,有歧义的一句是(d)

a.天桥拐角处坐着一位老人,盘腿而坐,吹着一个小口风琴

b.他仿佛看见父亲发怒的眼睛责备地望着他

c.他对你说的一番话,我看你一句都没听进去

d.我已经和你父亲说好了,周末咱们一块去

9.甲比乙大,乙小于丙,则可推断出(c)

a.甲大于丙b. 甲小于丙c.无法确定甲与丙的大小d.以上说法均不正确

10.小林认为自己的领导从来不会认为他在日常工作中不是一个兢兢业业的员工。

请问:小林的领导认为小林是不是一个兢兢业业的员工(b)

a.不是b.是c.没表明态度d.不太好说

专业知识测试(12~24题)

11.秘书人员要具有(a)的美德

a.谦虚谨慎b.惟命是从c.谨小慎微d.察言观色

12.各行各业都有自己的职业道德,秘书人员也须加强职业道德修养,其中很重要的一条是不可(c)

a.有自己的想法和创新b.更多地考虑自己的私人利益

c.假借上级的名义以权谋私d.做好自己分内的事情,对公司的其他事情漠不关心

13.做会议记录时,除了要把可有可无或重复的语句删去,还要尽可能做到既注重精,又注重详,则需采用(b)记录法

a.纲要b.精详c.精要d.补充

14.“人定一”(人定胜天)采用了汉字速记中的(a)略写法。

a.成语b.熟知c.词组d.多音节词

15.秘书人员不准向客人索要礼品,如对方主动赠送应婉言谢绝,无法谢绝的应该(b)

a.收下归自己所有b.收下后上交公司c.及时汇报d.先收下,后退回

16.秘书接待工作的3项主要任务分别是:安排好来宾的工作事宜、接待工作和

(d)

a.学习b.参观访问c.培训活动d.业余文化娱乐活动

17.在接待工作中,最常使用的接待规格是(c)。

a.高格接待b.低格接待c.对等接待d.参照以往的接待规格而定

18.对档案存放进行管理和维护档案完整与安全的活动属于档案(b)

a.整理工作b.保管工作c.统计工作d.分析工作

19.档案部门的检索工具,按照编制的方法,其中之一是(b)

a.人名索引b.指南c.全宗指南d.案卷目录

20.立卷类目是(c)

a.案卷名册b.移交目录c.案卷目录d.分类归卷方案

21.根据有关规定,我国档案保管期限的档次分为(b)

a.永久、定期b.永久、长期、短期

c.永久、长期、短期、不归档d.永久、长期、短期、不移交

22.标引一份公文文稿,首先是从(c)开始

a.分析主题b.查表选词c.审计文稿d.概念组配

23.多级上行文(d)

a.在少数特殊情况下才可以采用b.是上行文最基本的行文方式

c.是上行文一般使用的行文方式d.只有在少数十分特殊的必要情况下才可以采用

24.通用文书中指挥性文书有(a)

a.命令、指示、决定、条例等b.命令、指示、决定、批复等

c.命令、指示、决定、规定等d.命令、批示、决定、办法等

二、多项选择题

主要用于考察对专业知识的掌握程度

1.接待工作中的握手礼仪要求(abcd)

a.距离受礼者约一步,上身略向前倾b.四指并拢,拇指张向受礼者

c.两足立正,伸出右手d.由年长者、身份地位高者、女性先伸手

2.文档检索的方法主要有(abcd)

a.按事件主题检索法b.按部门机构检索法

c.地区检索法d.时间检索法

3.对一般秘书部门而言,保密工作的主要内容包括(abc)

a.文件保密b.会议保密c.一般工作保密d.来访保密

4.为安排好领导的参观活动,应做好(abc)准备

a.物质b.思想c.资料d.保健

5.会议的名称可以由以下几个部分构成(abc)

a.主办单位的名称b.会议的主题c.内容及会议的性质d.会议的范围

三、简答题

1.您认为秘书人员的主要工作职责是什么?如果您现在已经成功地得到这个职位,您打算如何做好自己的本职工作?

主要要点:计划分析能力

言语表达能力

2.您认为一个合格的秘书应该具备哪些素质?

主要要点:对基本知识的掌握程度

3.您工作表现很好,也因此得到了领导的赏识,但却遭到了同事的异议,在这种情况下,您怎么解决这一问题?

主要要点:应聘者的人际沟通能力

4.在工作中,如果领导交给您一项工作,而您知道那是错误的,此时,您打算怎么办?

主要要点:解决问题的能力

综合素质

四、写作

即将到年底,公司召开年会,现总经理让您写一份年会发言稿,字数要求:500~800字。

主要要点:公文写作能力

fpga选择题及答案篇五

行政文员笔试题

一、请写出企业常用的公文文种?(5分)

答:(考察对公文的一般理解)

一般企业公文有通知、请示、会议纪要、函(邀请函、复函)、总结、报告。

二、就你的理解行政工作应包括哪些内容?如何才能做好这项工作?(10分)

答:(考察行政工作的整体感觉)

1、日常事务工作(会议、人员接待、电话接待、采购、发放办公用品),档案合同工作。

2、拟定相关公文;
协助直属领导策划员工活动及组织会议、活动;

3、协助直属领导企业文化建设,草拟、修改相关制度;

4、公司办公场地绿化;
办公场地清洁、环境维护。

5、劳动纪律监督、行政费用统计及核算。

6、后勤支持性服务(办公设备维修维护、名片印制、定餐等)

7、有关政府政策及信息、行业信息的收集。

三、公司老总在例会说:“上个月公司的电费开支很大,有一些浪费,大家要注意一下。”你对此种情形如何办理?(10分)

答:(考察工作的主动性及细致程度)

四、从行政方面控制公司日常的成本,你觉得从哪几个方面入手?(10分)

答:控制公司日常成本,应从以下几方面入手:

1、复印、打印控制。

2、办公用品购买成本控制。

3、办公用品领用控制。

4、办公用品使用情况控制。

5、水电费用控制。

6、办公电话费用控制。

7、会议和活动组织成本控制。

8、定期向公司全体成员宣传节约意识。

五、公司的上级主管于明天到公司考察参观,你觉得需要做哪些方面的准备工作。(15分)

答:应做好以下工作:

1、住宿、行程安排。

2、工作汇报资料收集。

3、工作会议安排。

4、陪同参观人员安排

六、办公软件操作。(50分)

(1)以中秋活动内容作一份word版通知,同时用ppt格式制作一份简单的策划方案。(25分)

答:策划方案由行政助理草拟。

1、说明这次活动的目的;

2、落实活动时间、地点,主要与直属领导协商确定;

3、确定参加人员;

4、落实活动主持,与领导协商确定;

5、确定活动行程,与领导协商确定。其它,场地布置,服务。

(2)在《员工花名册》中增加 一栏“工龄”,同时筛选出入职满一年的员工档案信息,并将工龄填上。分部门汇总工资总额。(25分)

推荐访问:选择题 合集 答案 2023年fpga选择题及答案(合集) 2023年fpga选择题及答案(5篇) fpga考题

猜你喜欢